CDN加速镜像 | 设为首页 | 加入收藏夹
当前位置: 首页 资源下载 搜索资源 - VHDL 频率计

搜索资源列表

  1. vhdl-examples

    0下载:
  2. 这是eda初学者可以借鉴的两个关于电子频率计的VHDL设计实例
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:11190
    • 提供者:刘磊
  1. VHDL-XILINX-EXAMPLE26

    1下载:
  2. [VHDL经典设计26例]--在xilinx芯片上调试通过--[01--1位全加器][02--2选1多路选择器][03--8位硬件加法器][04--7段数码显示译码器][05--8位串入并出寄存器][6--8位并入串出寄存器][7--内部三态总线][8--含清零和同步时钟使能的4位加法计数器][9--数控分频器][10--4位十进制频率计][11--译码扫描显示电路][12--用状态机实现序列检测器的设计][13--用状态机对ADC0832电路控制实现SIN函数发生器][14--用状态机实现AD
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:3688067
    • 提供者:fuhao
  1. VHDL

    1下载:
  2. 用VHDL实现数字频率计,1. 时基产生与测频时序控制电路模块2. 待测信号脉冲计数电路模块3.锁存与译码显示控制电路模块4.顶层电路模块.
  3. 所属分类:VHDL编程

    • 发布日期:2008-10-13
    • 文件大小:13307
    • 提供者:侯治强
  1. VHDL学习的好资料--18个VHDL实验源代码

    9下载:
  2. 20个VHDL实验源代码,包括: 1 交通灯控制器 2 格雷码变换器 3 BCD码加法器 4 四位全加器 5 四人抢答器 6 4位并行乘法器 9 步长可变加减计数器 10 可控脉冲发生器 11 正负脉宽数控信源 12 序列检测器 13 4位流水乘法器 14 出租车计费器 15 多功能数字钟 16 多功能数字秒表 17 频率计 18 七人表决器 19 数码锁 20 VGA彩条发生器
  3. 所属分类:VHDL编程

    • 发布日期:2009-04-26
    • 文件大小:16540
    • 提供者:qjhktk
  1. pinlvji

    0下载:
  2. 本文十一个用VHDL频率计设计的方案描述,该设计阐明了设计的思路,步骤以及设计的最终代码,设计方案十分详细,是您学习的必备辅助!-In this paper, with 11 Cymometer VHDL design program descr iption, the design sets out design ideas, steps and design the ultimate code, the design is very detailed, it is essential tha
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-05
    • 文件大小:150356
    • 提供者:liuxiaozhong
  1. EDAkechengsheji

    0下载:
  2. 实现6位频率计,防止数据溢出,并对频率进行三分频-Frequency to achieve 6 to prevent data overflow, and one-third of the frequency band
  3. 所属分类:Other systems

    • 发布日期:2017-04-25
    • 文件大小:208061
    • 提供者:fengin
  1. efcount

    0下载:
  2. 完整的等精度频率相位计,包含了项目文件、VHDL源代码、RTL电路图-Such as the complete phase of the frequency accuracy, including the project document, VHDL source code, RTL circuit
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-24
    • 文件大小:366493
    • 提供者:xiexuan
  1. 20096.28

    0下载:
  2. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-09
    • 文件大小:126516
    • 提供者:
  1. freqtest

    0下载:
  2. 对复杂大规模可编程器件的特点,提出了一种新的数字频率计的实现方法。在QutusⅡ开发软件环境下,采用硬件编程语言VHDL,实现了数字频率计的设计。经过仿真,并下载验证。能够实现测频功能。-The complex features of large-scale programmable devices, a new realization method of digital frequency meter. In Qutus Ⅱ software development environment,
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:193370
    • 提供者:依然
  1. digital_frequence_counter

    0下载:
  2. 设计功能: 1..用VHDL完成12位十进制数字频率计的设计及仿真。 2.频率测量范围:1Hz∼ 10KHz,分成两个频段,即1∼ 999Hz,1KHz∼ 10KHz,用三位数码管显示测量频率,用LED显示表示单位,如亮绿灯表示Hz,亮红灯表示KHz。 3.具有自动校验和测量两种功能,即能用标准时钟校验、测量精度。 4.具有超量程报警功能,在超出目前量程档的测量范围时,发出灯光和音响信号。 -Design features: 1. . Compl
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-24
    • 文件大小:22189
    • 提供者:八毛
  1. 4-10-VHDL-f1

    0下载:
  2. 四位10进制VHDL频率计设计说明 四位频率计的结构包括一个测频率控制信号发生器、四个十进制计数器和一个十六位锁存器(本例中所测频率超过测频范围时有警示灯)。-Four 10-digit frequency counter VHDL design descr iption of the structure of the four frequency meter includes a measuring frequency control signal generator, four deci
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-08
    • 文件大小:53919
    • 提供者:韦昊斯
  1. Verilog-HDL.RAR

    0下载:
  2. 采用Verilog HDL语言编写的数字频率计,可以作为不错的练习或课设题-vhdl langue
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-04-10
    • 文件大小:1319544
    • 提供者:zhaoliang
  1. dengjingdu-pinlv

    0下载:
  2. 基于quartus II 软件用vhdl语言写的等精度频率计实验 源代码、最终生成文件全程奉献-Based on quartus II software written in other languages ​ ​ using vhdl precision frequency meter test source code, the resulting file full dedication
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-27
    • 文件大小:687238
    • 提供者:大毛
  1. Frequency-counter

    0下载:
  2. 基于FPGA的频率计设计。通过FPGA运用、 HDL编程,利用FPGA(现场可编程门阵列)芯片设计了一个8位数字式等精度频率计,该频率计的测量范围为0-100MHZ,利用QUARTUS II集成开发环境进行编辑、综合、波形仿真,并下载到CPLD器件中,经实际电路测试,仿真和实验结果表明,该频率计有较高的实用性和可靠性。-Frequency counter FPGA-based design. By using FPGA, VHDL programming, the use of FPGA (fi
  3. 所属分类:VHDL-FPGA-Verilog

    • 发布日期:2017-03-29
    • 文件大小:596239
    • 提供者:吴亮
  1. 频率计

    0下载:
  2. Verilog和VHDL联合编写的频率计
  3. 所属分类:VHDL编程

    • 发布日期:2014-08-27
    • 文件大小:2477580
    • 提供者:mylovehk
  1. FMT

    0下载:
  2. 基于vhdl设计的数字频率计,后面还加了个与fpga通信的模块(Digital frequency meter based on VHDL design, and later added a module to communicate with FPGA)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2017-12-19
    • 文件大小:13743104
    • 提供者:羲曜
  1. 频率计

    0下载:
  2. quartusii 和vhdl语言利用四位频率计设计,(Four bit frequency meter design)
  3. 所属分类:其他

  1. 方案二

    0下载:
  2. 本系统是基于CPLD和单片机的一种用于信号频率周期、时间间隔和占空比测量的数字频率计,系统由AGC(自动增益控制)电路、宽带放大电路、高速比较电路实现有效值10mV/频率100MHz和处理显示部分组成,其中AGC电路实现幅度自动增益控制使放大后的信号幅度在一定的范围内保持一致,比较电路将前级电路输出的信号转换成CPLD,利用等精度测频原理,实现闸门时间1S的高精度测量。单片机通信处理数据并显示,数据表明,系统精度达到发挥部分要求。(This system is a CPLD microcontr
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2018-04-30
    • 文件大小:77824
    • 提供者:小竹丶
  1. frenq2

    1下载:
  2. 数字硬件频率计 带有频率测量和占空比测量功能(Digital hardware frequency meter Frequency measurement and duty ratio measurement function)
  3. 所属分类:VHDL/FPGA/Verilog

    • 发布日期:2019-12-10
    • 文件大小:564224
    • 提供者:NarcissusYe
  1. plj

    1下载:
  2. 使用vhdl语言原件例化设计数字频率计,并用6位7段数码管计数。模块包括:十进制计数器,6位10进制计数器,Reg24 锁存器、Fp 分频器、Ctrl 频率控制器、Disp 动态显示。(The digital frequency meter is designed by using VHDL language as an example and counted by 6-bit 7-segment digital tube. Modules include: decimal counter, 6
  3. 所属分类:其他

    • 发布日期:2019-12-13
    • 文件大小:11264
    • 提供者:贵阳余文乐
« 1 2 3 45 6 7 8 9 10 ... 14 »
搜珍网 www.dssz.com